EziDebug is an easy-to-use versatile logic simulation tool for verification and debugging of digital circuits. It supports inserting scan chains in projects. Furthermore,more functions and characteristics will be opened. This manual is intended for users with no previous experience with EziDebug . It introduces you with the basic flow how to set up EziDebug. The example used in this tutorial is a small design written in Verilog and only the most basic commands will be covered in this manual. This manual was made by using Version 1.0 of EziDebug on Windows.

Project Samples

Project Activity

See All Activity >

Follow EziDebug

EziDebug Web Site

Other Useful Business Software
Business Continuity Solutions | ConnectWise BCDR Icon
Business Continuity Solutions | ConnectWise BCDR

Build a foundation for data security and disaster recovery to fit your clients’ needs no matter the budget.

Whether natural disaster, cyberattack, or plain-old human error, data can disappear in the blink of an eye. ConnectWise BCDR (formerly Recover) delivers reliable and secure backup and disaster recovery backed by powerful automation and a 24/7 NOC to get your clients back to work in minutes, not days.
Rate This Project
Login To Rate This Project

User Ratings

★★★★★
★★★★
★★★
★★
2
0
0
0
0
ease 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 5 / 5
features 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 5 / 5
design 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 5 / 5
support 1 of 5 2 of 5 3 of 5 4 of 5 5 of 5 4 / 5

User Reviews

  • it is a perfect tool for my work!
  • this is a very useful tool for fpga debug
Read more reviews >

Additional Project Details

Operating Systems

Windows

Languages

Chinese (Simplified)

Intended Audience

Testers, Engineering

User Interface

Win32 (MS Windows), Qt

Programming Language

C++, C

Related Categories

C++ Test and Measurement Software, C Test and Measurement Software

Registered

2012-11-28